Intel, le CPU in arrivo nei prossimi anni su desktop, notebook e server. Il punto

Intel, le CPU in arrivo nei prossimi anni su desktop, notebook e server. Il punto

Pat Gelsinger e gli altri dirigenti di Intel hanno delineato le roadmap nell'ambito delle CPU client e server dei prossimi anni. Tra nuovi processi produttivi, tecnologie di packaging e miglioramenti architetturali, Intel punta a riguadagnare la leadership nelle prestazioni per watt entro il 2025.

di pubblicata il , alle 10:01 nel canale Processori
Granite RapidsIntelSapphire RapidsXeonCoreLunar LakeArrow LakeEmerald RapidsRaptor LakeMeteor LakeSierra Forest
 

Nel corso dell'Investor Meeting 2022 Intel ha fatto il punto sulla roadmap delle CPU in arrivo nel settore client (notebook e desktop) e in quello server, parlando in parte di design ma soprattutto dei processi produttivi e delle tempistiche.

Il CEO Pat Gelsinger si è spinto a pronosticare l'arrivo di un processore con 1 trilione di transistor (mille miliardi) entro il 2030. "Fino a quando non avremo esaurito la tavola periodica, non avremo finito", ha dichiarato il massimo dirigente. Proviamo a fare ordine, in modo da avere un quadro di massima degli sviluppi che ci attendono nei prossimi anni.

Intel, la roadmap delle CPU per desktop e notebook

Per quanto riguarda il mondo client, sappiamo da tempo che dopo le attuali soluzioni Alder Lake toccherà a Raptor Lake. L'azienda, durante l'evento, ha non solo confermato ufficialmente la configurazione massima con 24 core e 32 thread (almeno in ambito desktop) per effetto del raddoppio degli E-core (da 8 a 16), ma promesso un miglioramento prestazionale fino "alla doppia cifra" rispetto ad Alder Lake. Il chip continuerà a essere prodotto con processo Intel 7, sebbene ulteriormente ottimizzato rispetto ad Alder Lake.

Oltre a mostrare una demo (con Blender e Adobe Premiere) per evidenziare il funzionamento di un engineering sample e l'uso efficiente dei tanti core della CPU, Intel ha confermato che Raptor Lake sarà "socket compatibile" con l'attuale LGA 1700 (dovrebbe quindi bastare un update del BIOS per installarlo sulle motherboard 600 attuali, ma visto che non è esplicitato usiamo il condizionale). Un altro sviluppo interessante sarà l'arrivo di un acceleratore di carichi di intelligenza artificiale in formato M.2.

L'uso della stessa interfaccia è un'indiretta conferma della scelta di Intel di continuare a offrire il doppio supporto di memoria, DDR4 e DDR5. I Core di 13a generazione Raptor Lake arriveranno nella seconda metà 2022.

Dopo Raptor Lake toccherà (nel 2023) a Meteor Lake, la prima CPU Intel per il settore client basata su tre processi produttivi diversi e su più tile, o chiplet se preferite. Di conseguenza richiederà una piattaforma differente dalle attuali motherboard socket LGA 1700.

La "Compute Tile", ovvero quella con i core, inizierà a essere prodotta con processo Intel 4 (ex 7 nanometri EUV) già a partire dalla seconda metà di quest'anno dopo il tape out. Sullo stesso package vedremo poi una tile denominata "SoC", con quello che viene definito "uncore" (I/O, ecc.) e la terza tile sarà costituita dalla GPU.

Nella sua slide Intel afferma che userà il processo N3 di TSMC per la realizzazione della GPU, probabilmente basata su architettura Xe2 HPG "Battlemage" in base alla slide qui sopra. I nuovi processi produttivi e le scelte di progettazione dovrebbero migliorare del 20% circa le prestazioni per watt rispetto ai chip precedenti.

Nel 2024 toccherà ad Arrow Lake, nome in codice che sebbene già comparso nelle indiscrezioni viene confermato ufficialmente da Intel per la prima volta. La tile CPU sarà prodotta con processo Intel 20A che dovrebbe offrire prestazioni per watt ulteriormente migliorate del 15% rispetto alla generazione precedente. Il progetto continuerà ad avere il trio di tile già citato, di conseguenza viene da pensare che il chip funzionerà anche sulle motherboard pensate per Meteor Lake. La GPU integrata dovrebbe garantire "prestazioni da chip dedicato".

Accanto ad Arrow Lake ecco Lunar Lake, anche in questo caso un nome già trapelato e confermato. Intel afferma che si avvarrà del processo Intel 18A (prestazioni per watt +10%) e un processo esterno non meglio specificato, probabilmente per la tile GPU basata su architettura Celestial. Interessante notare come la slide riporti la dicitura "performance per watt leadership", obiettivo che l'azienda ha già detto di voler raggiungere nel 2025. Lunar Lake sembra, almeno dalla slide, un processore che coesisterà con Arrow Lake, puntando a mercati differenti. Viene infatti etichettato come un progetto "ultra low power performance".

Per ricapitolare:

  • Alder Lake: già disponibile, realizzato con processo Intel 7
  • Raptor Lake: in arrivo nella seconda metà del 2022, prodotto con processo Intel 7. Design con un massimo di 8 P-core e fino a 16 E-core. Miglioramento prestazionale a doppia cifra
  • Meteor Lake: disponibile nel 2023, realizzato con processo Intel 4. Prestazioni per watt +20%
  • Arrow Lake: disponibile nel 2024, prodotto con processo Intel 20A. Prestazioni per watt +15%
  • Lunar Lake: disponibile nel 2024, realizzato con processo Intel 18A. Prestazioni per watt +10%

Intel, la roadmap Xeon si sdoppia con P-core ed E-core

Importanti novità attendono il mondo Intel Xeon, ovvero le CPU per server. L'azienda è pronta a sdoppiare l'offerta, in modo da coprire in modo mirato le necessità di settori e clienti differenti. Dal mondo desktop arrivano infatti i "P-core" (Performance Core) e gli E-core (Efficient Core), anche se non saranno uniti all'interno di un chip ma usati "singolarmente" per creare CPU totalmente basate su P-core oppure su E-core. L'obiettivo, da una parte, è quello di massimizzare le prestazioni, dall'altra ricercare l'efficienza.

Dopo Sapphire Rapids, processore basato totalmente su P-core "Golden Cove" realizzati con processo Intel 7, seguirà nel 2023 Emerald Rapids, realizzato con lo stesso processo produttivo ma basato su P-core di nuova generazione. Entrambi compatibili con gli standard DDR5, PCIe 5 e CXL, i processori useranno lo stesso socket, quindi verosimilmente basterà aggiornare il firmware della piattaforma per adottarlo.

È dopo Emerald Rapids che la strategia di Intel prenderà due strade. Il successore di Emerald Rapids si chiama Granite Rapids, e arriverà nel 2024 realizzato con processo Intel 3. Al di sotto però ecco spuntare Sierra Forest, uno Xeon basato totalmente su E-core. Sierra Forest userà lo stesso socket di Granite Rapids, condividendo anche firmware e software. La roadmap prevede, inoltre, due successori non meglio precisati indicati semplicemente come "Future Gen".

Per ricapitolare:

  • Sapphire Rapids: disponibile quest'anno, processo Intel 7, P-core Golden Cove
  • Emerald Rapids: in arrivo nel 2023, processo Intel 7, P-core di nuova generazione
  • Granite Rapids: in arrivo nel 2024, processo Intel 3, P-core di nuova generazione
  • Sierra Forest: in arrivo nel 2024, processo Intel 3, primo processore interamente basato su E-core
  • Future Gen: sia per le soluzioni P-core che E-core, Intel prevede aggiornamenti già dal 2025 

Processi produttivi, tecnologie di packaging e transistor

Abbiamo parlato delle CPU in arrivo e citato il nome di alcuni processi produttivi, ma per riguadagnare la leadership nelle prestazioni per watt entro il 2025 Intel sta lavorando a tutto tondo, anche sui transistor e le tecnologie di packaging. Proviamo a fare ordine anche in tal senso partendo proprio dai processi produttivi:

  • Intel 7: il processo è attualmente usato per la produzione delle CPU Alder Lake e arriveranno altri prodotti nel corso dell'anno, come Raptor Lake in ambito client e Sapphire Rapids in quello server. Secondo Intel, il processo migliora le prestazioni per watt fino al 10% rispetto all'ultima incarnazione dei 14 nanometri.
  • Intel 4: rappresenta la prima implementazione dell'azienda della litografia all'ultravioletto estremo (EUV) e sarà "pronta alla produzione" nella seconda metà del 2022. Secondo Intel garantisce fino al 20% circa di miglioramento per quanto concerne le prestazioni per watt.
  • Intel 3: Intel punta a migliorare le prestazioni per watt fino al 18%. Il processo sarà pronto alla produzione nella seconda metà del 2023.
  • Intel 20A: grazie a tecnologie come RibbonFET e PowerVIA di cui parliamo più avanti, Intel 20A migliorerà le prestazioni per watt fino al 15%. Sarà pronto alla produzione nella prima metà del 2024.
  • Intel 18A: Intel prevede di migliorare le prestazioni per watt fino al 10%. Il processo sarà pronto per la produzione di chip nella seconda metà del 2024.

Per quanto concerne le tecnologie di packaging, Intel è pronta a introdurre un piano articolato a partire da Sapphire Rapids e Ponte Vecchio, per poi passare alla "risk production" di Meteor Lake. Tralasciando EMIB (Embedded Multi-die Interconnect Bridge) alla base di Sapphire Rapids e altri prodotti già usciti sul mercato in passato, il focus di Intel è su Foveros, Foveros Omni e Foveros Direct. Quest'ultime saranno pronte nel 2023. Andiamo per punti:

  • Foveros: sfrutta le capacità di packaging a livello di wafer per fornire una soluzione di 3D stacking unica nel suo genere. Meteor Lake sarà l'implementazione di seconda generazione di Foveros in un prodotto client e presenta un bump pitch di 36 micron, tile che coprono molteplici nodi tecnologici e una gamma di potenza termica da 5 a 125 W.
  • Foveros Omni: rappresenta la nuova generazione della tecnologia Foveros fornendo flessibilità illimitata con la tecnologia di 3D stacking ad alte prestazioni per interconnessione die-to-die e prodotti modulari. Foveros Omni consente la disaggregazione degli stampi, mescolando molteplici tile superiori con molteplici tile di base realizzate con processi misti.
  • Foveros Direct: collegamento diretto rame-rame per interconnessioni a bassa resistenza e rende più labile il confine tra dove finisce il wafer e dove inizia il package. Foveros Direct consente picchi di bump inferiori a 10 micron con un aumento di un ordine di grandezza nella densità di interconnessione per il 3D stacking e la creazione di nuovi concetti per il partizionamento funzionale di stampi che prima non erano realizzabili.

Per quanto concerne i transistor, Intel sta lavorando su RibbonFET, l'implementazione di Intel di un transistor Gate-All-Around (GAA) e prima nuova architettura di transistor dell'azienda dall'introduzione dei FinFET nel 2011. Questa tecnologia velocizzerà la commutazione dei transistor a parità di corrente di azionamento rispetto ai chip odierni, contenendo anche l'ingombro.

PowerVIA è implementazione di Intel dell'alimentazione dal retro, che ottimizza la trasmissione del segnale eliminando la necessità far passare l'alimentazione al lato anteriore del wafer. Nel futuro di Intel ci sono però molte altre innovazioni attualmente in fase di studio, anticipate da Intel nei mesi scorsi e che abbiamo riportato in questo articolo: Intel, la Legge di Moore valida oltre il 2025: le innovazioni che lo permetteranno.

11 Commenti
Gli autori dei commenti, e non la redazione, sono responsabili dei contenuti da loro inseriti - info
frankie18 Febbraio 2022, 10:20 #1
Tanto lo sappiamo che il pp è 14++++++++++++++++++++++++++++++++++++++
fukka7518 Febbraio 2022, 11:21 #2
Riusciranno davvero ad iniziare la produzione a 7nm per la seconda metà dell'anno (cioè, a dicembre :asd?
Pkarer18 Febbraio 2022, 12:30 #3

Quante scemenze...

basta con sti ++++++++++++++++++++++++++++++++++++....
Fanno fatica ad arrivare a 7nm e improvvisamente mi parlano di Amstrong...
Dovrebbero invece ridurre i consumi, inserire l'encoder AV1 e potenziare alla grande quelli già presenti (HEVC, AVC ecc ecc)... e possibilmente renderli settabili per codifche professionali...
coschizza18 Febbraio 2022, 12:44 #4
Originariamente inviato da: Pkarer
basta con sti ++++++++++++++++++++++++++++++++++++....
Fanno fatica ad arrivare a 7nm e improvvisamente mi parlano di Amstrong...
Dovrebbero invece ridurre i consumi, inserire l'encoder AV1 e potenziare alla grande quelli già presenti (HEVC, AVC ecc ecc)... e possibilmente renderli settabili per codifche professionali...


tu hai scritto cose assurde, quello che chiedi intel lo sta gia facendo ma ti lamenti allora che cosa vuoi?
ciolla200518 Febbraio 2022, 14:04 #5
Basta con i nomi commerciali

Vogliamo le specifiche tecniche precise.
piwi18 Febbraio 2022, 14:19 #6
"Le migliori CPU di sempre"
Pkarer18 Febbraio 2022, 16:10 #7

Lamentarmi....

Continuano a mettere dei soprannomi alle varie tecnologie che utilizzano per nascondere il fatto che non riescono ad arrivare a 7nm... la chiamano Intel 7 (10nm)...aahahhaah. Hanno copiato di sanapianta la filosofia ARM per ottimizzare le prestazioni quando la TSMC già produce chip a 7nm e presto inizierà con i 4nm, se addirittura non ha già iniziato.
Ed intanto la Intel continua a venderti un pezzettino di tecnologia in più alla volta facendoti sembrare che da una famiglia all'altra cambia il mondo invece non ti danno in più una mazza... Un PC con un processore (Intel ma anche AMD) lo si può sostituire tranquillamente una volta ogni 10 anni...
Poi c'è qualcuno che pensa che mi lamenti...
RaZoR9318 Febbraio 2022, 17:43 #8
Originariamente inviato da: Pkarer
Continuano a mettere dei soprannomi alle varie tecnologie che utilizzano per nascondere il fatto che non riescono ad arrivare a 7nm... la chiamano Intel 7 (10nm)...aahahhaah. Hanno copiato di sanapianta la filosofia ARM per ottimizzare le prestazioni quando la TSMC già produce chip a 7nm e presto inizierà con i 4nm, se addirittura non ha già iniziato.
Ed intanto la Intel continua a venderti un pezzettino di tecnologia in più alla volta facendoti sembrare che da una famiglia all'altra cambia il mondo invece non ti danno in più una mazza... Un PC con un processore (Intel ma anche AMD) lo si può sostituire tranquillamente una volta ogni 10 anni...
Poi c'è qualcuno che pensa che mi lamenti...
La densità teorica è simile fra Intel 7 (10nm Enhanced SuperFin) e TSMC 7nm.
I precedenti "7nm" di Intel sono significativamente migliori rispetto ad N7 di TSMC e più paragonabili ad N5, motivo per cui Intel ha rinominato il nodo in Intel 4.
LMCH20 Febbraio 2022, 01:47 #9
Originariamente inviato da: RaZoR93
La densità teorica è simile fra Intel 7 (10nm Enhanced SuperFin) e TSMC 7nm.
I precedenti "7nm" di Intel sono significativamente migliori rispetto ad N7 di TSMC e più paragonabili ad N5, motivo per cui Intel ha rinominato il nodo in Intel 4.

Attualmente la densità teorica é solo uno dei parametri che descrivono quanto sia buono un certo processo produttivo.
Un vero confronto lo si può fare solo conoscendo anche le prestazioni dei gate, il leakage, la densità delle interconnessioni ecc.
In altre parole, a noi comuni mortali tocca attendere l'uscita di prodotti basati sui nuovi pp per poter verificare le affermazioni di Intel.
capodistria20 Febbraio 2022, 07:59 #10
CPU acquistabili con la vendita di un rene

Devi effettuare il login per poter commentare
Se non sei ancora registrato, puoi farlo attraverso questo form.
Se sei già registrato e loggato nel sito, puoi inserire il tuo commento.
Si tenga presente quanto letto nel regolamento, nel rispetto del "quieto vivere".

La discussione è consultabile anche qui, sul forum.
 
^