|
|||||||
|
|
|
![]() |
|
|
Strumenti |
|
|
#1 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
VHDL
Salve ragazzi io dovrei realizzare un circuito partendo da un codice vhdl
Qualcuno è in grado di aiutarmi? |
|
|
|
|
|
#2 |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Non ho capito se stai cercando di fare qualcosa per esercizio oppure se proprio hai un codice VHDL e devi ricavarne il circuito.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
|
|
|
|
|
#3 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
e' un esercizio, ma non so proprio come si fa!
Sapresti aiutarmi? |
|
|
|
|
|
#4 |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Prova a postare un pezzo di codice.
Di VHDL ce ne sono di tanti tipi, e non me lo ricordo benissimo. Se nessuno riesce a darti una mano direttamente possiamo provare a ragionarci sopra assieme.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
|
|
|
|
|
#5 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
se hai msn o skype possiamo parlarne direttamente
|
|
|
|
|
|
#6 |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
no, mi spiace, ho ICQ e non lo accendo pure da un po', non mi ricordo la PWD
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
|
|
|
|
|
#7 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; entity exam is port(a,b,i : in std_logic_vector(3 downto 0); l, clk, rst : in std_logic; out1 : out std_logic_vector(3 downto 0)); end exam; architecture mixed of exam is signal c, d, n, h : std_logic_vector(3 downto 0); signal e, f, g, m : std_logic; begin PROC1 : process (reset, clk) begin if( reset=’1’ ) then h <= “0000”; elsif (clk = '1' and clk'event) then h <= n * i; end if; end process; PROC2: process(l, g) begin if ( l='0' ) then m <= not g; else m <= g; end if; end process; PROC3: process(m, c, d) begin if ( m ) then n <= c; else n <= d; end if; end process; PROC4: process(clk) begin if (clk = '0' and clk'event) then if(reset=’1’) then out1 <= “0000”; else out1 <= h; end if; end if; end process; g <= f and e; c <= a – b; e <= a > b; f <= b > 0; d <= b - a; end mixed; Ultima modifica di wave00 : 22-02-2008 alle 21:53. |
|
|
|
|
|
#8 | ||
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Allora, butto un po' in mezzo.
Quote:
che ha: 3 bus da 4 bit in ingresso 3 pin (clock, reset e l) 1 bus da 4 bit in uscita Quote:
Ci sei?
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
||
|
|
|
|
|
#9 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
si ok, ma senti non hai proprio msn?
|
|
|
|
|
|
#10 |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Eh no, mi spiace. Ce l'ho al lavoro, ma a casa no...
Ho sbagliato comunque. <= e' l'assegnazione di stato. Quindi c, d, n, h sono 4 segnali da 4 bit mentre e, f, g, m sono 4 fili Dai che e' facile, me lo sono ricordato.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. Ultima modifica di gugoXX : 22-02-2008 alle 22:17. |
|
|
|
|
|
#11 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
cmq l'ese è questo:
http://home.dei.polimi.it/bolchini/d...20080211cb.pdf c'è anche quest'altro http://home.dei.polimi.it/bolchini/d...20070219cb.pdf |
|
|
|
|
|
#12 | |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Quote:
Se arriva il reset si resetta il registro Dentro il registro arriva il prodotto di n*i, un moltiplicatore?
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. Ultima modifica di gugoXX : 22-02-2008 alle 22:19. Motivo: i, non I avevo sbagliato. uffh |
|
|
|
|
|
|
#13 | |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Quote:
dentro un multiplexer semplicissimo, pilotato dal segnale l, entra sia g che g-negato. L'ho risolta. E' semplicemente la NOT della XOR tra g ed l Basta che ti fai le 4 combinazioni.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
|
|
|
|
|
|
#14 | |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Quote:
E' pilotato da m, ed entrano c e d l'uscita e' n
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
|
|
|
|
|
|
#15 | |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Quote:
4 flipflop messi davanti all'uscita, resettati sincroni. Davanti il flipflop campiona il segnale h
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
|
|
|
|
|
|
#16 | |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Quote:
Ora e' solo questione di mettere insieme i pezzi.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
|
|
|
|
|
|
#17 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
ci sto capendo poco senza disegni
|
|
|
|
|
|
#18 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
ma sai se c'è un software dove scrivo in vhdl ed ottengo lo schema logico?
|
|
|
|
|
|
#19 |
|
Senior Member
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
|
Eh, i software ci sono, ma non si capisce nulla dopo, il disegno lo fanno ottimizzato.
Comunque il disegno l'ho fatto... Se puo' servirti per controllare: - 2 registri da 4bit - un moltiplicatore - un multiplexer da 4bit - una not, una xor - una and - un sottrattore (4bit con 4bit) - un comparatore (4bit con 4bit) - un comparatore con 0 di un bus da 4 (Esce quindi un segnale solo che dice si', oppure no) (In pratica e' come prendere il bit piu' suignificativo del bus da 4 e negarlo) - un sottrattore (4bit con 4bit) Ma e' un tema d'esame recentissimo...
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto. E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test. |
|
|
|
|
|
#20 |
|
Member
Iscritto dal: Nov 2004
Messaggi: 47
|
si è recentissimo, ma non hai modo di fare un disegno,magari in paint o photoshop o come vuoi e metterlo in un indirizzo e permettermi di vederlo?
|
|
|
|
|
| Strumenti | |
|
|
Tutti gli orari sono GMT +1. Ora sono le: 01:19.




















