Torna indietro   Hardware Upgrade Forum > Software > Programmazione

Il cuore digitale di F1 a Biggin Hill: l'infrastruttura Lenovo dietro la produzione media
Il cuore digitale di F1 a Biggin Hill: l'infrastruttura Lenovo dietro la produzione media
Nel Formula 1 Technology and Media Centre di Biggin Hill, la velocità delle monoposto si trasforma in dati, immagini e decisioni in tempo reale grazie all’infrastruttura Lenovo che gestisce centinaia di terabyte ogni weekend di gara e collega 820 milioni di spettatori nel mondo
DJI Osmo Mobile 8: lo stabilizzatore per smartphone con tracking multiplo e asta telescopica
DJI Osmo Mobile 8: lo stabilizzatore per smartphone con tracking multiplo e asta telescopica
Il nuovo gimbal mobile DJI evolve il concetto di tracciamento automatico con tre modalità diverse, un modulo multifunzionale con illuminazione integrata e controlli gestuali avanzati. Nel gimbal è anche presente un'asta telescopica da 215 mm con treppiede integrato, per un prodotto completo per content creator di ogni livello
Recensione Pura 80 Pro: HUAWEI torna a stupire con foto spettacolari e ricarica superveloce
Recensione Pura 80 Pro: HUAWEI torna a stupire con foto spettacolari e ricarica superveloce
Abbiamo provato il nuovo HUAWEI Pura 80 Pro. Parliamo di uno smartphone che è un vero capolavoro di fotografia mobile, grazie ad un comparto completo in tutto e per tutto, In questa colorazione ci è piaciuto molto, ma i limiti hardware e software, seppur in netto miglioramento, ci sono ancora. Ma HUAWEI ha fatto davvero passi da gigante per questa nuova serie Pura 80. Buona anche l'autonomia e soprattutto la ricarica rapida sia cablata che wireless, velocissima.
Tutti gli articoli Tutte le news

Vai al Forum
Rispondi
 
Strumenti
Old 22-02-2008, 20:57   #1
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
VHDL

Salve ragazzi io dovrei realizzare un circuito partendo da un codice vhdl
Qualcuno è in grado di aiutarmi?
wave00 è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 21:25   #2
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Non ho capito se stai cercando di fare qualcosa per esercizio oppure se proprio hai un codice VHDL e devi ricavarne il circuito.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 21:42   #3
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
e' un esercizio, ma non so proprio come si fa!
Sapresti aiutarmi?
wave00 è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 21:44   #4
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Prova a postare un pezzo di codice.
Di VHDL ce ne sono di tanti tipi, e non me lo ricordo benissimo.
Se nessuno riesce a darti una mano direttamente possiamo provare a ragionarci sopra assieme.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 21:47   #5
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
se hai msn o skype possiamo parlarne direttamente
wave00 è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 21:49   #6
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
no, mi spiace, ho ICQ e non lo accendo pure da un po', non mi ricordo la PWD
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 21:50   #7
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
entity exam is port(a,b,i : in std_logic_vector(3 downto 0);
l, clk, rst : in std_logic;
out1 : out std_logic_vector(3 downto 0));
end exam;

architecture mixed of exam is
signal c, d, n, h : std_logic_vector(3 downto 0);
signal e, f, g, m : std_logic;
begin

PROC1 : process (reset, clk)
begin
if( reset=’1’ ) then
h <= “0000”;
elsif (clk = '1' and clk'event) then
h <= n * i;
end if;
end process;

PROC2: process(l, g)
begin
if ( l='0' ) then
m <= not g;
else
m <= g;
end if;
end process;

PROC3: process(m, c, d)
begin
if ( m ) then
n <= c;
else
n <= d;
end if;
end process;

PROC4: process(clk)
begin
if (clk = '0' and clk'event) then
if(reset=’1’) then
out1 <= “0000”;
else
out1 <= h;
end if;
end if;
end process;

g <= f and e;
c <= a – b;
e <= a > b;
f <= b > 0;
d <= b - a;

end mixed;

Ultima modifica di wave00 : 22-02-2008 alle 21:53.
wave00 è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 21:56   #8
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Allora, butto un po' in mezzo.

Quote:
Originariamente inviato da wave00 Guarda i messaggi
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
entity exam is port(a,b,i : in std_logic_vector(3 downto 0);
l, clk, rst : in std_logic;
out1 : out std_logic_vector(3 downto 0));
end exam;
Questo dovrebbe essere il componente visto da fuori,
che ha:
3 bus da 4 bit in ingresso
3 pin (clock, reset e l)
1 bus da 4 bit in uscita

Quote:

architecture mixed of exam is
signal c, d, n, h : std_logic_vector(3 downto 0);
signal e, f, g, m : std_logic;
begin
Questa e' la dichiarazione delle variabili interne, ovvero 4 bus da 4 bit e 4 segnali (fili normali)
Ci sei?
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 21:56   #9
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
si ok, ma senti non hai proprio msn?
wave00 è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:01   #10
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Eh no, mi spiace. Ce l'ho al lavoro, ma a casa no...

Ho sbagliato comunque.
<= e' l'assegnazione di stato.
Quindi
c, d, n, h
sono 4 segnali da 4 bit
mentre
e, f, g, m
sono 4 fili

Dai che e' facile, me lo sono ricordato.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.

Ultima modifica di gugoXX : 22-02-2008 alle 22:17.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:04   #11
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
cmq l'ese è questo:
http://home.dei.polimi.it/bolchini/d...20080211cb.pdf
c'è anche quest'altro
http://home.dei.polimi.it/bolchini/d...20070219cb.pdf
wave00 è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:04   #12
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Quote:
PROC1 : process (reset, clk)
begin
if( reset=’1’ ) then
h <= “0000”;
elsif (clk = '1' and clk'event) then
h <= n * i;
end if;
end process;
Qui c'e' un registro. All'uscita c'e' il segnale h (4 bit)
Se arriva il reset si resetta il registro
Dentro il registro arriva il prodotto di n*i, un moltiplicatore?
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.

Ultima modifica di gugoXX : 22-02-2008 alle 22:19. Motivo: i, non I avevo sbagliato. uffh
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:11   #13
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Quote:
Originariamente inviato da wave00 Guarda i messaggi
PROC2: process(l, g)
begin
if ( l='0' ) then
m <= not g;
else
m <= g;
end if;
end process;
Questa e' una semplice combinatoria.
dentro un multiplexer semplicissimo, pilotato dal segnale l, entra sia g che g-negato.

L'ho risolta. E' semplicemente la NOT della XOR tra g ed l
Basta che ti fai le 4 combinazioni.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:15   #14
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Quote:
Originariamente inviato da wave00 Guarda i messaggi
PROC3: process(m, c, d)
begin
if ( m ) then
n <= c;
else
n <= d;
end if;
end process;
Questo invece e' davvero un mutilplexer.
E' pilotato da m, ed entrano c e d
l'uscita e' n
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:17   #15
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Quote:
Originariamente inviato da wave00 Guarda i messaggi
PROC4: process(clk)
begin
if (clk = '0' and clk'event) then
if(reset=’1’) then
out1 <= “0000”;
else
out1 <= h;
end if;
end if;
end process;
di nuovo un componente con memoria.

4 flipflop messi davanti all'uscita, resettati sincroni.
Davanti il flipflop campiona il segnale h
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:23   #16
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Quote:
Originariamente inviato da wave00 Guarda i messaggi
g <= f and e;
c <= a – b;
e <= a > b;
f <= b > 0;
d <= b - a;
Questa e' solo la glue logic tra i pezzi, con i sommatori, i comparatori, etc.
Ora e' solo questione di mettere insieme i pezzi.
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:23   #17
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
ci sto capendo poco senza disegni
wave00 è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:30   #18
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
ma sai se c'è un software dove scrivo in vhdl ed ottengo lo schema logico?
wave00 è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 22:40   #19
gugoXX
Senior Member
 
L'Avatar di gugoXX
 
Iscritto dal: May 2004
Città: Londra (Torino)
Messaggi: 3692
Eh, i software ci sono, ma non si capisce nulla dopo, il disegno lo fanno ottimizzato.

Comunque il disegno l'ho fatto...
Se puo' servirti per controllare:
- 2 registri da 4bit
- un moltiplicatore
- un multiplexer da 4bit
- una not, una xor
- una and
- un sottrattore (4bit con 4bit)
- un comparatore (4bit con 4bit)
- un comparatore con 0 di un bus da 4
(Esce quindi un segnale solo che dice si', oppure no)
(In pratica e' come prendere il bit piu' suignificativo del bus da 4 e negarlo)
- un sottrattore (4bit con 4bit)

Ma e' un tema d'esame recentissimo...
__________________
Se pensi che il tuo codice sia troppo complesso da capire senza commenti, e' segno che molto probabilmente il tuo codice e' semplicemente mal scritto.
E se pensi di avere bisogno di un nuovo commento, significa che ti manca almeno un test.
gugoXX è offline   Rispondi citando il messaggio o parte di esso
Old 22-02-2008, 23:43   #20
wave00
Member
 
Iscritto dal: Nov 2004
Messaggi: 47
si è recentissimo, ma non hai modo di fare un disegno,magari in paint o photoshop o come vuoi e metterlo in un indirizzo e permettermi di vederlo?
wave00 è offline   Rispondi citando il messaggio o parte di esso
 Rispondi


Il cuore digitale di F1 a Biggin Hill: l'infrastruttura Lenovo dietro la produzione media Il cuore digitale di F1 a Biggin Hill: l'infrast...
DJI Osmo Mobile 8: lo stabilizzatore per smartphone con tracking multiplo e asta telescopica DJI Osmo Mobile 8: lo stabilizzatore per smartph...
Recensione Pura 80 Pro: HUAWEI torna a stupire con foto spettacolari e ricarica superveloce Recensione Pura 80 Pro: HUAWEI torna a stupire c...
Opera Neon: il browser AI agentico di nuova generazione Opera Neon: il browser AI agentico di nuova gene...
Wind Tre 'accende' il 5G Standalone in Italia: si apre una nuova era basata sui servizi Wind Tre 'accende' il 5G Standalone in Italia: s...
Microsoft e tecnologia immorale: i dipen...
Come stanno andando Fastweb e Vodafone d...
Huawei presenta i nuovi eKit: soluzioni ...
Rockstar spiega i licenziamenti degli sv...
Il "concert phone" definitivo ...
Nintendo punta sul cinema: dopo Mario, a...
Insta360 X4 Air in prova: registra a 360...
PlayStation Portal: arriva lo Streaming ...
iPad Air 13'' (M2) in offerta: sconto di...
Schiaffo al copyright: utilizzare materi...
realme GT 8 Pro porta le fotocamere inte...
JBL Clip 5 a soli 39€ su Amazon: lo spea...
Apple pronta a pagare 1 miliardo l'anno ...
Apple non dimentica gli iPhone più...
Nintendo si prepara a dare il benservito...
Chromium
GPU-Z
OCCT
LibreOffice Portable
Opera One Portable
Opera One 106
CCleaner Portable
CCleaner Standard
Cpu-Z
Driver NVIDIA GeForce 546.65 WHQL
SmartFTP
Trillian
Google Chrome Portable
Google Chrome 120
VirtualBox
Tutti gli articoli Tutte le news Tutti i download

Strumenti

Regole
Non Puoi aprire nuove discussioni
Non Puoi rispondere ai messaggi
Non Puoi allegare file
Non Puoi modificare i tuoi messaggi

Il codice vB è On
Le Faccine sono On
Il codice [IMG] è On
Il codice HTML è Off
Vai al Forum


Tutti gli orari sono GMT +1. Ora sono le: 13:16.


Powered by vBulletin® Version 3.6.4
Copyright ©2000 - 2025, Jelsoft Enterprises Ltd.
Served by www3v