|
|
|
![]() |
|
Strumenti |
![]() |
#1 |
Member
Iscritto dal: Aug 2008
Messaggi: 35
|
[VHDL] - Ise 10.1 - Simulazione - input interi
Oi ragazzi ciao a tutti.
Perdonate per il disturbo. Sto smanettando diciamo col vhdl, a poco a poco mi faccio un idea di questo linguaggio, per mi ponevo una domanda. Se io ho il seguente modulo Codice:
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity circuito_4 is port( clock : in std_logic; i1 : in integer; --intero in ingresso o1 : out integer --intero in uscita ); end circuito_4; architecture Behavioral of circuito_4 is begin process (clock) is begin if clock'event = true and clock = '1' then o1 <= i1; end if; end process; end Behavioral; come faccio a dare in input una sequenza di "numeri interi"? Non so se sono stato oscuro... |
![]() |
![]() |
![]() |
#2 |
Member
Iscritto dal: Aug 2008
Messaggi: 35
|
Ovvero come?
|
![]() |
![]() |
![]() |
Strumenti | |
|
|
Tutti gli orari sono GMT +1. Ora sono le: 05:59.