|
|||||||
|
|
|
![]() |
|
|
Strumenti |
|
|
#80041 | |
|
Senior Member
Iscritto dal: Sep 2001
Città: Pisa
Messaggi: 2213
|
Quote:
questi sono tuning della mobo cmq, ho avuto risultati migliori usando direttamente quelli AMD (advanced cpu settings/precision boost overdrive/advanced) e qui agire sul wattaggio massimo in pbo limits/platform thermal throttle ctrl (temperatura massima)/curve optimizer (offset negativo -30 od oltre). detto questo gia' con tutto in Auto veniva gestito ottimamente dalla mia mobo (cine R23 multi 21k con 83 gradi), agendo su PBO Enhancement ho fatto stesso punteggio ma con 90 gradi, con CO offset 21k/83C ed il migliore scendendo anche un po' coi limiti 21k/77
__________________
9800X3D | 32GB DDR5 6400C30@TUNED | RTX 4090 | LG 32GQ950-B | Fractal Torrent | NZXT C1200W | Iliad Fibra 5Gb |
|
|
|
|
|
|
#80042 |
|
Senior Member
Iscritto dal: May 2017
Messaggi: 404
|
Domanda niubba: ma per misurare le differenze che benchmark si usa adesso? Io ero rimasto al Cinebench ma dura una vita e mezza adesso...
__________________
Solo i morti e gli idioti non cambiano mai idea (cit.) AMD Ryzen 9800X3D CPU, Asus Ryujin II 360 AIO, Asus ROG Crosshair X670E Extreme MB, 64GB G.Skill 6000 CL30 RAM, 2x Crucial T700 PCIE5 (2TB Raid-0), 1x Seagate FirePro 4TB, Gigabyte RTX 5090 Gaming OC, Asus ROG Thor II 1000 EVA Edition PSU, Asus Helios Case. |
|
|
|
|
|
#80043 |
|
Senior Member
Iscritto dal: May 2004
Città: Monte Argentario
Messaggi: 7566
|
Capito tutto grazie mille @alexgti @Tuvok-LuR-
__________________
CachyOS - Steam : Lele83
|
|
|
|
|
|
#80044 |
|
Senior Member
Iscritto dal: May 2005
Città: Cagliari
Messaggi: 789
|
Si sa niente dell'uscita di nuove apu con prestazioni grafiche superiori a quelle di un 5700g?
|
|
|
|
|
|
#80045 | |
|
Senior Member
Iscritto dal: Sep 2001
Città: Alessandria
Messaggi: 1781
|
Quote:
Inviato dal mio LYA-L29 utilizzando Tapatalk
__________________
Pc1:Gigabyte X670E Aorus Master- R9 7800x3D -32Gb Kingston Fury Beast 6000 - XFX Rx 6700 XT Merc 319 - EVGA 1200 P2 Laptop: Alienware Area51M R2 - I7 10700k - 32Gb DDR4 2933 - RTX 2070 Super |
|
|
|
|
|
|
#80046 |
|
Senior Member
Iscritto dal: Jan 2023
Messaggi: 584
|
Un Arctic Freezer II 360 è compatibile con AM5?
Va bene anche così, c'è qualche adattatore da comprare a parte o tocca buttarlo? Sul sito è segnalato che per AM5 va bene, ma c'è anche una nota che se acquistato da venditori terzi, potrebbe non esserci tutto e dover richiedere accessori. Uno acquistato però (anche da loro) in sola era AM4 , presumo ovviamente che non abbia nulla di adatto..... o no? Ultima modifica di Xiphactinus : 18-03-2023 alle 09:55. |
|
|
|
|
|
#80047 | |
|
Senior Member
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 31967
|
Rilevata l’APU “Little Phoenix” di AMD Hybrid Phoenix 2 con configurazione 2+4 core
https://tecit.net/rilevata-lapu-litt...zione-24-core/ Quote:
E' questa la grande differenza tra AMD/Intel sull'ibrido... ma bisogna dire che Intel con l'ibrido cercava oltre a più efficienza anche un pareggio di area... cosa che un coreP "snellito" non è chiaramente in grado di ottenere. (pare che un coreP = 4 core E). Per AMD l'area non è un problema, perchè tra vantaggio nanometria (che la densità la offre senza cercare soluzioni esotiche) e produzione MCM, non si pone il problema. A questo punto, tutto è MOLTO più semplice (a parità di architettura, non si presentano problemi di set istruzioni differenti, frequenze L3 differenti e problemi allo scheduler), il costo R&D è minimo, e se AMD porta a buon punto l'impilazione anche di chiplet, ha il mondo, prestazione, efficienza, costi bassi R&D e costi bassi produzione. Il che equivale a poter vendere un prodotto APU con un'ottima iGPU (senza limitazioni di consumo), L3 impilata e via. All'uscita Alder si diceva che AMD avrebbe copiato Intel con l'ibrido... che AMD abbia copiato Intel, è discutibile, ma se anche lo fosse, certamente l'ha realizzato molto più intelligentemente.
__________________
9950X PBO 1X CO -33 Override +100 CPU-Z RS/DU 930/18.563 - CB23-2339 - 47682 47728 -CB24 144 2508 - OCCT - V-RAY 53.994 - GeekBench 6.3 3563/22664 - TEST RS Y-Cruncher BKT - core 0-15 NPbench - CPU-Z 19207 - CB23 49265 - CB24 2593 Ultima modifica di paolo.oliva2 : 18-03-2023 alle 11:48. |
|
|
|
|
|
|
#80048 |
|
Senior Member
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 31967
|
Per quanto riguarda date silicio:
Nota: Le date TSMC/Samsung sono più affidabili (secondo me) in quanto riscontrabili... nel senso che se TSMC/Samsung annuncia disponibilità nanometria X per produzione a rischio, le ditte Y sanno che possono cominciare la stesura a rischio su quella nanometria. Diverso invece per Intel, la quale annuncia date sull'intenzione più che sulla fattibilità... (che Intel abbia tutte le intenzioni di recuperare il divario con i concorrenti, nessuno lo discute, ma sul riuscirci, in 2 anni, difficile) e con uno storico che fa riflettere e ben 2 nodi all'anno, da dubitare c'è e tanto. https://www.techpowerup.com/review/f...ware-releases/ TSMC 4 nanometer+ First tape outs: H2 2022 Codename "N4P" 11% performance boost over N5, 6% over N4 22% better power vs N5 6% higher density than N5 TSMC 3 nanometer April 2020: on track Risk production: H2 2021 Volume production: H2 2022 (confirmed as of Apr 2022) FinFET technology "FinFlex", offers standard cells with a 3-2 fin configuration for performance, 2-1 for power, 2-2 for balanced Uses TSMC's third implementation of EUV (Extreme Ultra Violet) Depending on FinFlex cell: 11%–33% speed improvement, 12%-30% power reduction, 0.64x to 0.85x area improvement 30,000 wafers per month at the start, 105,000 by 2023 12-inch wafer size Will also be produced in upcoming Arizona fab in 2026 Intel has announced they have placed two orders for processors based on this process Seven customers as of Aug 2022 (Apple, AMD, Broadcom, Intel, MediaTek, NVIDIA and Qualcomm) TSMC N3E making good progress, now in 2023 TSMC 3 nanometer+ Release date: 2023 First client will be Apple TSMC 2 nanometer Release Date: 2023 (risk production) Volume production: 2025 (*) (considerazioni AM5 alla fine) June 2020: TSMC is accelerating R&D Sep 2020: fab construction has begun Will use Gate-All-Around (GAA) technology Multi-bridge channel field effect transistor (MBCFET) architecture 10-15% speed improvement over N3 at same power, or 25-30% power at same speed Nanosheet transistors TSMC 1 nanometer Release Date: around 2027 Uses Semi-metal bismuth for contact electrodes Chip plan planning has started as of Nov 2022 Might be a 1.4 nm node that gets rounded to "1 nm" Sources Samsung 6 nanometer Release Date: unknown First product taped out as of Q2 2019 Uses EUV (Extreme Ultra Violet) Special variant for customers Samsung 5 nanometer Release Date: 2021 Ready for customer sample production as of Q2 2019 In production as of Q4 2020 Yields are challenging as of Q2 2020 Yields below 50% as of Q3 2021 Plans to build fab in Austin TX, for $18B Uses EUV (Extreme Ultra Violet) Up to 25% the density of 7 nm 20% lower power consumption 10% higher performance Samsung 4 nanometer [added] Release Date: H1 2023 # Used by Google Tensor G3 SoC in Pixel 7 # Samsung 3 nanometer Mass Production: H12022 "Initial production" started as of Jun 30th 2022 Uses Gate All Around FET transistors (GAA), Multi-Bridge-Channel FET (MBCFET) 45% less power while delivering 23% more performance 35% less silicon space taken per transistor (vs. 7 nm) 16% less silicon space taken per transistor (vs. 5 nm) 2nd generation 3 nm expected in 2025, reduces power by 50%, improves perf by 30%, reduces area by 35% Samsung 2 nanometer In early development as of Oct 2021, mass production in 2025 Uses Multi-Bridge-Channel FET (MBCFETTM) Samsung 1.4 nanometer In planning as of Oct 2022 Mass Production: 2027 GAA (gate-all-around) 2.5D/3D integration Micro-bumps Intel 4 (7 nanometer) Release Date: 2023 Uses EUV (Extreme Ultra Violet) 4x reduction in design rules Planned to be used on multiple products: CPU, GPU, AI, FPGA, 5G networking Used for Meteor Lake Twice the transistor count for the same area 20% perf/watt over 10 nm SuperFin ("Intel 7") 21% gain in frequency at 0.65 V, 10% at 0.84 V 40% lower power at 2.1 GHz Jan 2021: Intel reports "issues fixed" Jul 2021: Intel renames this process to "Intel 4" Intel 3 Release Date: 2023 Uses EUV (Extreme Ultra Violet) Possibly still a 7 nanometer node, with improvements 18% perf/watt vs Intel 4 Denser HP library more EUV Improve drive-current and via resistance Intel 20A [updated] Release Date: H1 2024 # 20 Angstrom = 2 nanometer New transistors called RibbonFET PowerVia to connect silicon dies 15% perf/watt improvement over Intel 3 # Intel 18A [updated] Release Date: H2 2024 # 18 Angstrom = 1.8 nanometer Improvements to RibbonFET for higher transistor density # 10% perf/watt improvement over Intel 20A # ----------------------------------------------------------------------------------------- Durata socket AM5. Il discorso della durata è semplicissimo... visto che AMD cambia socket solo ed esclusivamente quando cambia lo standard DDR (Il PCI non obbliga il cambio socket, vedi AM4 con PCI3 e successivamente PCI4 sullo stesso socket), Zen6 sarà su socket AM5 o AM6? Tutto dipenderà dalle DDR6, perchè se disponibili e prezzate commercialmente da non ostacolare la vendita Zen6 (sulla falsa riga di Zen4 AM5 e DDR5). SE Zen6 verrà commercializzato nel 2025 (onestamente ne dubito... io penso più nel 2026, ma molto dipenderà dalla situazione Intel silicio), mi pare evidente che nel 2025 ancora non esisteranno le DDR6, quindi OVVIO che Zen6 per forza di cose AMD lo commercializzerà su AM5. Il discorso DDR è un attimo contorto... perchè essendo un prodotto commerciale, questo prende "piede" almeno con 2 "a condizione che". La prima è che abbia un costo iniziale almeno non superiore al precedente costo iniziale DDR5, altrimenti il divario renderebbe il prodotto incommerciabile (e si dovrebbe aspettare un salto nodo apposito per DDR, che è più indietro rispetto a quello delle CPU) e la seconda è la disponibilità dei vari produttori di memoria (bisogna concludere il ciclo produzione DDR5, rientrare dall'investimento e realizzare un plus guadagno dadividere e da reinvestire nelle DDR6. Non si può fare un discorso di X anni, perchè la regressione odierna del mercato diminuisce il volume prodotto e venduto... quindi allungherà la tempistica). Quindi prima verrà prodotto e commercializzato Zen6, più probabile che AMD lo immetta compatibile AM5, viceversa più tardi verrà, più probabile sarà AM6 + DDR6.
__________________
9950X PBO 1X CO -33 Override +100 CPU-Z RS/DU 930/18.563 - CB23-2339 - 47682 47728 -CB24 144 2508 - OCCT - V-RAY 53.994 - GeekBench 6.3 3563/22664 - TEST RS Y-Cruncher BKT - core 0-15 NPbench - CPU-Z 19207 - CB23 49265 - CB24 2593 Ultima modifica di paolo.oliva2 : 18-03-2023 alle 17:22. |
|
|
|
|
|
#80049 |
|
Senior Member
Iscritto dal: Dec 2017
Città: Lanciano (CH)
Messaggi: 808
|
Sono sempre più convinto che l'approccio ibrido a cui fa riferimento Papermaster sia proprio liscio e 3d, liscio col max clock e 3d con clock limitato ma dotato di ampia cache L3. Se il sistema operativo gestisce bene lo scheduler c'è da stare felici.
__________________
Ryzen 5800X3D su Strix B550-F. 4x8GB Ram (Patriot 4000c19) @ 4000c16 (16-16-24-40-280-2T) Gdm Off XFX RX 7900xt |
|
|
|
|
|
#80050 |
|
Senior Member
Iscritto dal: Sep 2002
Città: Cagliari
Messaggi: 16488
|
|
|
|
|
|
|
#80051 |
|
Senior Member
Iscritto dal: Sep 2002
Città: Cagliari
Messaggi: 16488
|
|
|
|
|
|
|
#80052 | |
|
Senior Member
Iscritto dal: Oct 2001
Città: Roma
Messaggi: 1220
|
Quote:
Con il mio 7700x sono stabile a -35 e sono molto soddisfatto, dopo una settimana di test ho raggiunto la piena stabilità operativa ottenendo il massimo con il minimo voltaggio. Intendo dire che il mio target era portare le ddr a 6000mhz con cl30-36-36, trefi al max, trfc a 160ns,.subtimings tirati il giusto, fclk a 2100mhz ed ho raggiunto tutti gli obiettivi con solo 1.15v di soc voltage e le RAM con voltaggio a default di 1.35v. Non potevo chiedere di meglio per 260€ di CPU usata, 137€ di memorie e 190€ di mobo! La mia filosofia è sempre stata quella di raggiungere il massimo con il minimo sforzo economico scegliendo oculatamente i componenti
__________________
Lancool II - Gigabyte B650 Gaming X - 7700X - A.C. LQ 240 - 32GB FURY 6000CL36@CL28 - C.M. RM650 - Asus 7900XTX - ASUS VG27WQ https://valid.x86.fr/5cd17a Ho trattato con.. TANTA GENTE e mai un problema dal 2001 Ultima modifica di alexgti : 18-03-2023 alle 13:04. |
|
|
|
|
|
|
#80053 |
|
Bannato
Iscritto dal: Mar 2023
Messaggi: 87
|
Salve!
Stamane ho buttato giù il mio sistemino con 5700X, cpu davvero ottima con consumi e temperature contenute anche col PBO sbloccato. Ecco i risultati con le ram 1:1 a 4000mhz con timings messi "a caso" per il momento. Curve Optimizer testato con +200mhz di boost e -30mv su tutti i core e che sembra reggere 3 cicli di corecycler master settato con 12minuti a core con opzione istruzioni SSE TEST MODERATE. ![]() ![]() ![]() La cosa fantastica è che la cpu boosta a 4.85ghz con appena 1.22v e temperature che non arrivano 70 gradi nel Cinebench R23 |
|
|
|
|
|
#80054 | |
|
Senior Member
Iscritto dal: Nov 2010
Messaggi: 1302
|
Quote:
?
__________________
1) AMD 5800X3D W/ TG KryoSheet - MSI RTX 4090 Gaming X Trio - 32GB G.Skill Ripjaws V - MSI MEG X570 Unify - TT TH280 V2 ARGB - 6TB Kingston KC3000+2TB Sabrent Rocket - SuperFlower Leadex III 850W - Phanteks Eclipse P600S - LG 42" C2 OLED - 2) ASUS N6506MV Ultima modifica di wulff73 : 20-03-2023 alle 17:38. |
|
|
|
|
|
|
#80055 |
|
Bannato
Iscritto dal: Mar 2023
Messaggi: 87
|
|
|
|
|
|
|
#80056 | |
|
Senior Member
Iscritto dal: Nov 2010
Messaggi: 1302
|
Quote:
__________________
1) AMD 5800X3D W/ TG KryoSheet - MSI RTX 4090 Gaming X Trio - 32GB G.Skill Ripjaws V - MSI MEG X570 Unify - TT TH280 V2 ARGB - 6TB Kingston KC3000+2TB Sabrent Rocket - SuperFlower Leadex III 850W - Phanteks Eclipse P600S - LG 42" C2 OLED - 2) ASUS N6506MV |
|
|
|
|
|
|
#80057 |
|
Senior Member
Iscritto dal: Sep 2002
Città: Cagliari
Messaggi: 16488
|
Ho dato un’altra tiratina al 5700G.
Ram a 4000C16 1,5V 1:1 e PBO e CO -25 CB23 a 15400 con ventola in silent non lo avevo mai visto. Il nuovo bios fa lavorare le ram decisamente bene. |
|
|
|
|
|
#80058 |
|
Bannato
Iscritto dal: Mar 2023
Messaggi: 87
|
|
|
|
|
|
|
#80059 | |
|
Senior Member
Iscritto dal: Mar 2005
Città: Sconosciuta
Messaggi: 2562
|
Quote:
La marca/serie che ho in firma sono delle bombe
__________________
CM HAF 500~EVGA 750G5~DeepCool LS 720~Asus TUF gaming X670E~Ryzen 7700X~2x16Gb DDR5 6000~Asus Prime RX 9070 16gb+Samsung 980 pro+870 evo+WD Black 4Tb -- 2° PC: CM Silencio 452+Nepton 240m+CM650V2~Asus 970 pro~FX 8370-2X8Gb~6500XT~Samsung 950 pro+Crucial MX 300- 3° PC: Athlon II x4 645-2x4Gb-Crucial MX 300. Monitors: AOC 24G2U/BK & Samsung S24F350 |
|
|
|
|
|
|
#80060 |
|
Bannato
Iscritto dal: Mar 2023
Messaggi: 87
|
|
|
|
|
|
| Strumenti | |
|
|
Tutti gli orari sono GMT +1. Ora sono le: 18:37.
























